summaryrefslogtreecommitdiff
path: root/sysdeps/x86_64/fpu/s_fdiml.S
diff options
context:
space:
mode:
authorSamuel Thibault <samuel.thibault@ens-lyon.org>2018-12-27 18:56:13 +0000
committerSamuel Thibault <samuel.thibault@ens-lyon.org>2018-12-27 18:57:13 +0000
commit82dd75a7f436a19047325d62182590c9f9e23a78 (patch)
tree60ca20c8cf2b0d178d84725c0715471f76df97e1 /sysdeps/x86_64/fpu/s_fdiml.S
parent0bbb676a2342367c4e52b35e890f24667dabb348 (diff)
parent963c37d5c0eb62b38f8764b23931c0dcdd497a13 (diff)
Merge commit 'refs/top-bases/t/tls' into t/tls
Diffstat (limited to 'sysdeps/x86_64/fpu/s_fdiml.S')
-rw-r--r--sysdeps/x86_64/fpu/s_fdiml.S43
1 files changed, 0 insertions, 43 deletions
diff --git a/sysdeps/x86_64/fpu/s_fdiml.S b/sysdeps/x86_64/fpu/s_fdiml.S
deleted file mode 100644
index f9f1e20259..0000000000
--- a/sysdeps/x86_64/fpu/s_fdiml.S
+++ /dev/null
@@ -1,43 +0,0 @@
-/* Compute positive difference.
- Copyright (C) 1997-2016 Free Software Foundation, Inc.
- This file is part of the GNU C Library.
- Contributed by Ulrich Drepper <drepper@cygnus.com>, 1997.
-
- The GNU C Library is free software; you can redistribute it and/or
- modify it under the terms of the GNU Lesser General Public
- License as published by the Free Software Foundation; either
- version 2.1 of the License, or (at your option) any later version.
-
- The GNU C Library is distributed in the hope that it will be useful,
- but WITHOUT ANY WARRANTY; without even the implied warranty of
- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
- Lesser General Public License for more details.
-
- You should have received a copy of the GNU Lesser General Public
- License along with the GNU C Library; if not, see
- <http://www.gnu.org/licenses/>. */
-
-#include <sysdep.h>
-
- .text
-ENTRY(__fdiml)
- fldt 8(%rsp) // x
- fldt 24(%rsp) // x : y
-
- fucomi %st(1), %st
- jp 1f
-
- jc 3f
- fstp %st(1)
- fldz
- jmp 2f
-
-3: fsubrp %st, %st(1)
- ret
-
-1: fucomi %st(0), %st
- fcmovnu %st(1), %st
-2: fstp %st(1)
- ret
-END(__fdiml)
-weak_alias (__fdiml, fdiml)