summaryrefslogtreecommitdiff
path: root/src/uart.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/uart.h')
-rw-r--r--src/uart.h6
1 files changed, 3 insertions, 3 deletions
diff --git a/src/uart.h b/src/uart.h
index c3b91db..81f7375 100644
--- a/src/uart.h
+++ b/src/uart.h
@@ -30,8 +30,8 @@
* communication.
*/
-#ifndef _UART_H
-#define _UART_H
+#ifndef UART_H
+#define UART_H
#include <stdint.h>
@@ -58,4 +58,4 @@ void uart_write(uint8_t byte);
*/
int uart_read(uint8_t *byte);
-#endif /* _UART_H */
+#endif /* UART_H */